十六位VHDL数字钟钟和八位VHDL数字钟钟的区别?


以下有一个波形仿真过了但是丅载时有点问题的代码,如果你解决了告诉我哈谢谢

摘要: 以自顶向下的模块化方法進行VHDL数字钟钟系统设计,采用VHDL语言编写各功能模块,原理图作为顶层文件的方式,在Quartus II集成开发环境里进行编译、仿真、综合,最后在线下载到实验箱进行调试,调试结果表明:VHDL数字钟钟的时间显示、调时和闹钟功能均可实现.  

我要回帖

更多关于 数字钟 的文章

 

随机推荐